Учебно-методический комплекс дисциплины обсужден на заседании кафедры Информационной безопасности «1»


НазваниеУчебно-методический комплекс дисциплины обсужден на заседании кафедры Информационной безопасности «1»
страница6/8
ТипУчебно-методический комплекс
1   2   3   4   5   6   7   8

Исследование цифровых счётчиков. (7 час.)

1. КРАТКАЯ ТЕОРИЯ

Цифровым счётчиком импульсов называют последовательный
цифровой узел, который осуществляет счёт поступающих на его вход
импульсов. Результат счёта формируется счётчиком в заданном коде и может
храниться необходимое-время.

Счётчики строят на Т-триггерах и ТV-триггерах с применением при
необходимости логических элементов в цепях межразрядных связей.
Количество триггеров N должно быть таким, чтобы множество внутренних
состояний счётчика 2N было не меньше максимального числа импульсов,
которое должно быть посчитано. С приходом очередного счётного импульса
изменяется состояние счётчика, которое в заданном коде отображает
результат счёта. Если количество счётных импульсов не ограничивать, то
счётчик будет работать в режиме деления их числа на коэффициент (модуль)
счёта Ксч, равный 2N . Через каждые 2N импульсов он будет возвращаться в
начальное состояние и снова считать импульсы. Если необходимый
коэффициент счёта не равен 2N, применяют различные способы сокращения

числа внутренних состояний счётчика.



Счётчики можно классифицировать по ряду признаков. По

направлению счёта их делят на суммирующие (с прямым счётом),
вычитающие (с обратным счётом) и реверсивные. По способу организации
переноса различаю~~ счётчики с последовательным, параллельным и
комбинированным (параллельно- последовательным) переносом.

Рассмотрим пример реализации трёхразрядного суммирующего
счётчика в коде 4-2-1 с последовательным переносом. Порядок смены
состояний счётчика задан табл.1. Как следует из таблицы, с приходом
очередного счётного импульса к содержимому счётчика прибавляется
единица. При этом увеличивается на единицу номер состояния, являющийся
десятичным эквивалентом соответствующего данному состоянию двоичного
числа.
Изменение состояния каждого последующего разряда происходит при
изменении состояния предыдущего разряда от 1 к 0. Это означает, что всякий
раз, когда данный триггер в счётчике переходит из состояния 1 в состояние 0,
на его выходе должен формироваться сигнал переноса, вызывающий
срабатывание следующего триггера. Если же данный триггер переходит из 0
в 1, то сигнала пере носа на его выходе не должно быть. Из табл. 1 также
следует, что триггер первого, самого младшего разряда, должен менять своё
состояние каждый раз с приходом очередного счётного импульса, а триггер
каждого последующего разряда - вдвое реже триггера предыдущего разряда.

Описанные порядок смены состояний счётчика и характер процесса их
установления могут . быть реализованы, если счётчик будет построен на
последовательно соединённых Т-триггерах. Каждый последующий разряд
при этом будет переключаться сигналом переноса; формируемым на выходе
предыдущего разряда. Счётные импульсы должны быть поданы на вход
триггера самого младшего разряда. Счётчики, построенные таким образом,
получили название счётчиков с последовательным переносом. Пример
трёхразрядного счётчика на Т-триггерах двухступенчатой структуры
приведён на рис. 1. Для установки исходного состояния служит шина
«Уст.О», которой объединены R-входы всех триггеров. Нулевое состояние
триггеров устанавливается подаваемым по этой шине положительным
импульсом напряжения между уровнями О и 1. На левом поле условного
графического обозначения счётчика (рис. l,б) показано, что его входом
является Тг-вход первого разряда, а на правом поле указан «вес» каждого
разряда.

Вычитающий счетчик с последовательным переносом имеет обратный
порядок смены состояний: с приходом очередного счётного импульса
содержащееся в счётчике число уменьшается на единицу (табл. 2). Другая
особенность вычитающего счётчика - триггер каждого после-дующего
разряда переключается в противоположное состояние при изменении уровня
на выходе триггера предыдущего разряда от О к 1, Т.е. при сигнале займа,
обратном сигналу переноса в суммирующем счётчике. Строится
вычитающий счётчик так же, как суммирующий, но с тем отличием, что со
входом каждого последующего триггера в отличие от рис.1 соединяется
инверсный выход предыдущего триггера.

Из работы трёхразрядного счётчика следует, что в наихудшем случае
новое его состояние устанавливается с задержкой, равной утроенной
задержке переключения одного триггера, что вызвано последовательным во
времени распространением сигнала переноса через все разряды счётчика.
Таким образом, в счётчике с последовательным переносом неэффективно
используется быстродействие триггеров, особенно при большом числе
разрядов. В этом состоит существенный недостаток счётчиков с
последовательным переносом, из-за которого область их применения
ограничивается цифровыми устройствами с небольшим числом разрядов и
невысоким быстродействием.





Один из широко применяемых способов ускорения переноса в счётчике

основан на введении логических элементов, с помощью которых достигается

возможность одновременного (параллельного) . формирования сигнала

переноса для всех разрядов. Для реализации этого способа применяют ТV-

триггеры. На Т-входы всех триггеров одновременно подаются счётные

импульсы, а на V-вход каждого триггера поступает сигнал переноса,

формируемый логической схемой в виде уровня 1. Триггеры, на V-входе

которых имеется сигнал переноса, одновременно переключаются с приходом

очередного счётного импульса, и, таким образом, устанавливается новое

состояние счётчика. Для определения вида цепи переноса обратимся к уже

рассмотренной табл.1. Из неё следует, что первый разряд, как и в счётчике с

последовательным пере носом, должен быть построен на Т - триггере. Если

применяется ТУ -триггер, то его У-вход следует соединить с Т-входом.

Второй триггер перебрасывается в противоположное состояние



счётным импульсом при наличии 1 на выходе первого триггера, а третий

триггер перебрасывается при наличии 1 на выходах двух предыдущих

триггеров. Обобщая эту закономерность на случай N-разрядного счётчика

получим, что каждый последующий триггер должен переключиться под

воздействием счётного импульса при наличии 1 на выходах всех

предыдущих триггеров. Следовательно, для формирования сигнала переноса

В каждый разряд счётчика необходимо включить элемент И и соединить его

входы с прямыми выходами всех предыдущих разрядов, а выход - с v-

входом триггера данного разряда. Пример суммирующего счётчика с

параллельным переносом на ТV -триггерах приведён на рис.2.

Быстродействие этого счётчика выше, чем счётчика с последовательным

пере носом, поскольку оно равно быстродействию переноса одного Разряда.

Недостаток - необходимость включения в схему элемента И с нарастающим

от разряда к разряду числом входов. Это нарушает регулярность структуры и

ограничивает возможность наращивания его схемы. Частично этот

недостаток можно устранить при использовании триггеров с входной

логикой.

Многие серии микросхем содержат JK-триггеры с входной логикой.

Для преобразования JК-триггера в ТV - триггер необходимо объединить

входы J и К в один, это и будет У-вход. У триггера с тремя конъюнктивно

связанными J-входами и тремя конъюнктивно связанными К-входами могут

быть образованы, следовательно, три конъюнктивно связанные V –входа. При

реализации счётчика на таких триггерах исключаются дополнительные

логические элементы в цепях переноса. Однако ограничение в числе

разрядов остаётся. На таких триггерах можно построить лишь

четырёхразрядный счётчик (рис. 3).

Вычитающий счётчик с параллельным переносом строится так же, как

и суммирующий, но сигналы переноса снимаются с инверсных относительно

используемых в суммирующем счётчике выходов триггеров.

Реверсивный счётчик, объединяющий возможности суммирующего и

вычитающего, строится таким образом, чтобы обеспечивалось управление

направлением счёта с помощью сигналов разрешения на реализацию

операций сложения С с и вычитания С в. Поэтому его схема содержит

дополнительную комбинационную часть, выполняющую указанную функцию.




Нередко счётчики с параллельным переносом , выпускаемые в виде

микросхем, имеют помимо основных выходов -дополнительные, как это

показано, например, на рис.4. На одном из выходов, обозначенном «>15»,

сигнал 1 появляется при заполнении счётчика единицами, Т.е. когда он

перешёл в состояние с номером 15. Следовательно, на этом выходе

формируется сигнал переноса в следующий счётчик. На другом выходе,

обозначенном «<0», сигнал появляется при заполнении счётчика нулями и

является сигналом займа в следующий счётчик в режиме вычитания.

Реверсивный счётчик можно построить и на Т-триггерах (рис. 5). Как и
в рассмотренном ранее' суммирующем счётчике, счётные импульсы
поступают на Т-вход триггера через логические элементы только в том
случае, если на логических элементах имеются сигналы разрешения с
выходов предыдущих разрядов.

В счётчике на рис. 5,а для счётных импульсов предусмотрены два
входа. Если счётчик должен работать в режиме прямого счёта, импульсы
следует подавать на на вход «+ 1 », в режиме обратного счёта - на вход .<-1.> При использовании такого счётчика в качестве реверсивного с одним источником импульсов необходимо предусмотреть внешнее устройство коммутации счётных импульсов на суммирующий «+1»
«-1» входы. Вариант такой коммутирующей приставки к счётчику приведён

-~-

На рис. 5,б. При подаче положительных импульсов на S-вход RS-триггера на
его прямом выходе установится единичный уровень, который откроет
элемент 1 для счётных импульсов Со. Счётчик будет работать в режиме
сложения. Если подать положительный импульс на R-вход триггера,
откроется для счётных импульсов элемент 2, и счётчик будет работать в
режиме вычитания.







2. МЕТОДИКА ВЫПОЛНЕНИЯ
2.1. Включите необходимое программное обеспечение.





2.2. Соберите схему простейшего суммирующего счётчика с после-

довательным переносом по рис. 6. Для этого следует воспользоваться синхронизируемым положительным фронтом D-триггерами из контейнера

Sequential. Для индикации

состояния счётчика в виде

десятичного числа используется

семисегментный индикатор из контейнера

Indicators. Спланируйте эксперимент

и постройте 'временные диаграммы

Qj= f(С) для этой схемы.



2.3. Соберите схему простейшего вычитающего счётчика (рис. 7),

отличие которого от схемы на рис. 6 в том, что входы синхронизации С1 У 2-го, 3-го и 4-го триггеров соединены не с инверсными, а с прямыми выходами l-го, 2-го и 3-го триггеров. Спланируйте эксперимент и постройте временные диаграммы Qi = f( С ) для этой схемы.

2.4. На рис. 8 приведена схема для исследования ,универсального двоично-десятичного счётчика. Соберите эту схему, используя счётчик 74192 ( 4-Bit Up/ Down

Counter ) из контейнера Sequential в шаблоне COUNTERS. Для индикации состояния счётчика в виде десятичного числа используется семисегментный индикатор из контейнера Indicators.

Спланируйте эксперимент и постройте временные диаграммы для различных режимов работы этого счётчика: установка в O-(R), параллельная запись -(Load ), + 1 и -1.


Построите графы переходов для счётчика, установленного в начальные

состояния 10-(A), 11-(В), 12-(С), 13-(D), 14-(Е), 15-(F) при сигналах +1 и -1

На рис. 8 использованы, обозначения:

DСВА - входы для параллельной записи в счётчик двоичного числа;

Load - (инверсный сигнал) - синхронизация параллельной записи числа в счётчик;

R - установка счётчика в состояние 0000;

+ 1 - прибавление к содержимому счётчика единицы (положительный фронт);
-1 - вычитание из содержимого счётчика единицы (положительный фронт).
2.4. Получите у преподавателя задание на проектирование счётчика с

параллельным переносом на D-триггерах и нетрадиционным порядком счёта:
1 вариант: счёт - 1,3,7,4,0; 2 вариант: счёт - 0,3,6,4,0;

3 вариант: счёт - 1,3,7,4,8; 4 вариант: счёт - 7,5,3,1,0;

5 вариант: счёт - 0,3,1,4,2; 6 вариант: счёт - 5,7,3,2,0;

7 вариант: счёт - 3,2,1,5,7; 8 вариант: счёт - 2,3,4,1,5.

Соберите схему спроектированного счётчика и исследуйте её на
cсоответствие вашему варианту задания. Постройте граф переходов.
3. КОНТРОЛЬНЫЕ ВОПРОСЫ

1. Поясните принципы построения суммирующего и вычитающего
счётчиков по табл. 1 и 2.

2. Как реализуется параллельное формирование сигнала переноса во
всех разрядах счётчика?

Поясните построение и работу реверсивного счётчика.

Как функционируют выводы ">15" и "<0" реверсивного счётчика,
каково их практическое применение?

Исследование цифровых счётчиков (7 час.)

1. КРАТКАЯ ТЕОРИЯ

Цифровым счётчиком импульсов называют последовательный
цифровой узел, который осуществляет счёт поступающих на его вход
импульсов. Результат счёта формируется счётчиком в заданном коде и может
храниться необходимое-время.

Счётчики строят на Т-триггерах и ТV-триггерах с применением при
необходимости логических элементов в цепях межразрядных связей.
Количество триггеров N должно быть таким, чтобы множество внутренних
состояний счётчика 2N было не меньше максимального числа импульсов,
которое должно быть посчитано. С приходом очередного счётного импульса
изменяется состояние счётчика, которое в заданном коде отображает
результат счёта. Если количество счётных импульсов не ограничивать, то
счётчик будет работать в режиме деления их числа на коэффициент (модуль)
счёта Ксч, равный 2N . Через каждые 2N импульсов он будет возвращаться в
начальное состояние и снова считать импульсы. Если необходимый
коэффициент счёта не равен 2N, применяют различные способы сокращения


числа внутренних состояний счётчика.

Счётчики можно классифицировать по ряду признаков. По

направлению счёта их делят на суммирующие (с прямым счётом),
вычитающие (с обратным счётом) и реверсивные. По способу организации
переноса различаю~~ счётчики с последовательным, параллельным и
комбинированным (параллельно- последовательным) переносом.

Рассмотрим пример реализации трёхразрядного суммирующего
счётчика в коде 4-2-1 с последовательным переносом. Порядок смены
состояний счётчика задан табл.1. Как следует из таблицы, с приходом
очередного счётного импульса к содержимому счётчика прибавляется
единица. При этом увеличивается на единицу номер состояния, являющийся
десятичным эквивалентом соответствующего данному состоянию двоичного
числа.



Изменение состояния каждого последующего разряда происходит при
изменении состояния предыдущего разряда от 1 к 0. Это означает, что всякий
раз, когда данный триггер в счётчике переходит из состояния 1 в состояние 0,
на его выходе должен формироваться сигнал переноса, вызывающий
срабатывание следующего триггера. Если же данный триггер переходит из 0
в 1, то сигнала пере носа на его выходе не должно быть. Из табл. 1 также
следует, что триггер первого, самого младшего разряда, должен менять своё
состояние каждый раз с приходом очередного счётного импульса, а триггер
каждого последующего разряда - вдвое реже триггера предыдущего разряда.

Описанные порядок смены состояний счётчика и характер процесса их
установления могут . быть реализованы, если счётчик будет построен на
последовательно соединённых Т-триггерах. Каждый последующий разряд
при этом будет переключаться сигналом переноса; формируемым на выходе
предыдущего разряда. Счётные импульсы должны быть поданы на вход
триггера самого младшего разряда. Счётчики, построенные таким образом,
получили название счётчиков с последовательным переносом. Пример
трёхразрядного счётчика на Т-триггерах двухступенчатой структуры
приведён на рис. 1. Для установки исходного состояния служит шина
«Уст.О», которой объединены R-входы всех триггеров. Нулевое состояние
триггеров устанавливается подаваемым по этой шине положительным
импульсом напряжения между уровнями О и 1. На левом поле условного
графического обозначения счётчика (рис. l,б) показано, что его входом
является Тг-вход первого разряда, а на правом поле указан «вес» каждого
разряда.

Вычитающий счетчик с последовательным переносом имеет обратный
порядок смены состояний: с приходом очередного счётного импульса
содержащееся в счётчике число уменьшается на единицу (табл. 2). Другая
особенность вычитающего счётчика - триггер каждого после-дующего
разряда переключается в противоположное состояние при изменении уровня
на выходе триггера предыдущего разряда от О к 1, Т.е. при сигнале займа,
обратном сигналу переноса в суммирующем счётчике. Строится
вычитающий счётчик так же, как суммирующий, но с тем отличием, что со
входом каждого последующего триггера в отличие от рис.1 соединяется
инверсный выход предыдущего триггера.

Из работы трёхразрядного счётчика следует, что в наихудшем случае
новое его состояние устанавливается с задержкой, равной утроенной
задержке переключения одного триггера, что вызвано последовательным во
времени распространением сигнала переноса через все разряды счётчика.
Таким образом, в счётчике с последовательным переносом неэффективно
используется быстродействие триггеров, особенно при большом числе
разрядов. В этом состоит существенный недостаток счётчиков с
последовательным переносом, из-за которого область их применения
ограничивается цифровыми устройствами с небольшим числом разрядов и
невысоким быстродействием.





Один из широко применяемых способов ускорения переноса в счётчике

основан на введении логических элементов, с помощью которых достигается

возможность одновременного (параллельного) . формирования сигнала

переноса для всех разрядов. Для реализации этого способа применяют ТV-

триггеры. На Т-входы всех триггеров одновременно подаются счётные

импульсы, а на V-вход каждого триггера поступает сигнал переноса,

формируемый логической схемой в виде уровня 1. Триггеры, на V-входе

которых имеется сигнал переноса, одновременно переключаются с приходом

очередного счётного импульса, и, таким образом, устанавливается новое

состояние счётчика. Для определения вида цепи переноса обратимся к уже

рассмотренной табл.1. Из неё следует, что первый разряд, как и в счётчике с

последовательным пере носом, должен быть построен на Т - триггере. Если

применяется ТУ -триггер, то его У-вход следует соединить с Т-входом.

Второй триггер перебрасывается в противоположное состояние



счётным импульсом при наличии 1 на выходе первого триггера, а третий

триггер перебрасывается при наличии 1 на выходах двух предыдущих

триггеров. Обобщая эту закономерность на случай N-разрядного счётчика

получим, что каждый последующий триггер должен переключиться под

воздействием счётного импульса при наличии 1 на выходах всех

предыдущих триггеров. Следовательно, для формирования сигнала переноса

В каждый разряд счётчика необходимо включить элемент И и соединить его

входы с прямыми выходами всех предыдущих разрядов, а выход - с v-

входом триггера данного разряда. Пример суммирующего счётчика с

параллельным переносом на ТV -триггерах приведён на рис.2.

Быстродействие этого счётчика выше, чем счётчика с последовательным

пере носом, поскольку оно равно быстродействию переноса одного Разряда.

Недостаток - необходимость включения в схему элемента И с нарастающим

от разряда к разряду числом входов. Это нарушает регулярность структуры и

ограничивает возможность наращивания его схемы. Частично этот

недостаток можно устранить при использовании триггеров с входной

логикой.

Многие серии микросхем содержат JK-триггеры с входной логикой.

Для преобразования JК-триггера в ТV - триггер необходимо объединить

входы J и К в один, это и будет У-вход. У триггера с тремя конъюнктивно

связанными J-входами и тремя конъюнктивно связанными К-входами могут

быть образованы, следовательно, три конъюнктивно связанные V –входа. При

реализации счётчика на таких триггерах исключаются дополнительные

логические элементы в цепях переноса. Однако ограничение в числе

разрядов остаётся. На таких триггерах можно построить лишь

четырёхразрядный счётчик (рис. 3).

Вычитающий счётчик с параллельным переносом строится так же, как

и суммирующий, но сигналы переноса снимаются с инверсных относительно

используемых в суммирующем счётчике выходов триггеров.

Реверсивный счётчик, объединяющий возможности суммирующего и

вычитающего, строится таким образом, чтобы обеспечивалось управление

направлением счёта с помощью сигналов разрешения на реализацию

операций сложения С с и вычитания С в. Поэтому его схема содержит

дополнительную комбинационную часть, выполняющую указанную функцию.



Нередко счётчики с параллельным переносом , выпускаемые в виде

микросхем, имеют помимо основных выходов -дополнительные, как это

показано, например, на рис.4. На одном из выходов, обозначенном «>15»,

сигнал 1 появляется при заполнении счётчика единицами, Т.е. когда он

перешёл в состояние с номером 15. Следовательно, на этом выходе

формируется сигнал переноса в следующий счётчик. На другом выходе,

обозначенном «<0», сигнал появляется при заполнении счётчика нулями и

является сигналом займа в следующий счётчик в режиме вычитания.

Реверсивный счётчик можно построить и на Т-триггерах (рис. 5). Как и
в рассмотренном ранее' суммирующем счётчике, счётные импульсы
поступают на Т-вход триггера через логические элементы только в том
случае, если на логических элементах имеются сигналы разрешения с
выходов предыдущих разрядов.

В счётчике на рис. 5,а для счётных импульсов предусмотрены два
входа. Если счётчик должен работать в режиме прямого счёта, импульсы
следует подавать на на вход «+ 1 », в режиме обратного счёта - на вход .<-1.> При использовании такого счётчика в качестве реверсивного с одним источником импульсов необходимо предусмотреть внешнее устройство коммутации счётных импульсов на суммирующий «+1»
«-1» входы. Вариант такой коммутирующей приставки к счётчику приведён

-~-

На рис. 5,б. При подаче положительных импульсов на S-вход RS-триггера на
его прямом выходе установится единичный уровень, который откроет
элемент 1 для счётных импульсов Со. Счётчик будет работать в режиме
сложения. Если подать положительный импульс на R-вход триггера,
откроется для счётных импульсов элемент 2, и счётчик будет работать в
режиме вычитания.





2. МЕТОДИКА ВЫПОЛНЕНИЯ
2.1. Включите необходимое программное обеспечение.



2.2. Соберите схему простейшего суммирующего счётчика с после-

довательным переносом по рис. 6. Для этого следует воспользоваться синхронизируемым положительным фронтом D-триггерами из контейнера

Sequential. Для индикации

состояния счётчика в виде

десятичного числа используется

семисегментный индикатор из контейнера

Indicators. Спланируйте эксперимент

и постройте 'временные диаграммы

Qj= f(С) для этой схемы.

2.3. Соберите схему простейшего вычитающего счётчика (рис. 7),

отличие которого от схемы на рис. 6 в том, что входы синхронизации С1 У 2-го, 3-го и 4-го триггеров соединены не с инверсными, а с прямыми выходами l-го, 2-го и 3-го триггеров. Спланируйте эксперимент и постройте временные диаграммы Qi = f( С ) для этой схемы.

2.4. На рис. 8 приведена схема для

исследования ,универсального двоично-де-

сятичного счётчика. Соберите эту схему,

используя счётчик 74192 ( 4-Bit Up/ Down

Counter ) из контейнера Sequential в

шаблоне COUNTERS. Для индикации

состояния счётчика в виде десятичного

числа используется семисегментный

индикатор из контейнера Indicators.

Спланируйте эксперимент и постройте

временные диаграммы для различных

режимов работы этого счётчика: установка

в O-(R), параллельная запись -(Load ), + 1 и -1.

Построите графы переходов для

счётчика, установленного в начальные

состояния 10-(A), 11-(В), 12-(С), 13-(D), 14-(Е),

15-(F) при сигналах +1 и -1

На рис. 8 использованы, обозначения:

DСВА - входы для параллельной записи в счётчик двоичного числа;

Load - (инверсный сигнал) - синхронизация параллельной записи числа в

счётчик;

R - установка счётчика в состояние 0000;

+ 1 - прибавление к содержимому счётчика единицы (положительный фронт);
-1 - вычитание из содержимого счётчика единицы (положительный фронт).
2.4. Получите у преподавателя задание на проектирование счётчика с

параллельным переносом на D-триггерах и нетрадиционным порядком счёта:
1 вариант: счёт - 1,3,7,4,0; 2 вариант: счёт - 0,3,6,4,0;

3 вариант: счёт - 1,3,7,4,8; 4 вариант: счёт - 7,5,3,1,0;

5 вариант: счёт - 0,3,1,4,2; 6 вариант: счёт - 5,7,3,2,0;

7 вариант: счёт - 3,2,1,5,7; 8 вариант: счёт - 2,3,4,1,5.

Соберите схему спроектированного счётчика и исследуйте её на
cсоответствие вашему варианту задания. Постройте граф переходов.
1   2   3   4   5   6   7   8

Похожие:

Учебно-методический комплекс дисциплины обсужден на заседании кафедры Информационной безопасности «1» iconУчебно-методический комплекс дисциплины обсужден на заседании кафедры...
Учебно-методический комплекс дисциплины составлен в соответствии с требованиями государственного образовательного стандарта высшего...

Учебно-методический комплекс дисциплины обсужден на заседании кафедры Информационной безопасности «1» iconУчебно-методический комплекс дисциплины обсужден на заседании кафедры...
Учебно-методический комплекс дисциплины составлен в соответствии с требованиями государственного образовательного стандарта высшего...

Учебно-методический комплекс дисциплины обсужден на заседании кафедры Информационной безопасности «1» iconУчебно-методический комплекс дисциплины
Туризм, утвержденного приказом Министерства образования и науки РФ от 20. 01. 2006 г. №739гум/бак. Учебно-методический комплекс обсужден...

Учебно-методический комплекс дисциплины обсужден на заседании кафедры Информационной безопасности «1» iconУчебно-методический комплекс дисциплины обсужден на заседании кафедры...
Учебно-методический комплекс составлен в соответствии с требованиями государственного образовательного стандарта высшего профессионального...

Учебно-методический комплекс дисциплины обсужден на заседании кафедры Информационной безопасности «1» iconУчебно-методический комплекс дисциплины «Учет на предприятиях малого бизнеса»
Учебно-методический комплекс составлен в соответствии с требованиями государственного образовательного стандарта высшего профессионального...

Учебно-методический комплекс дисциплины обсужден на заседании кафедры Информационной безопасности «1» iconУчебно-методический комплекс обсужден на заседании кафедры «01»
Учебно-методический комплекс дисциплины составлен в соответствии с требованиями государственного образовательного стандарта высшего...

Учебно-методический комплекс дисциплины обсужден на заседании кафедры Информационной безопасности «1» iconУчебно-методический комплекс по дисциплине «маркетинговые коммуникации»
Учебно-методический комплекс обсужден и утвержден на заседании кафедры маркетинга (протокол №1 от 14 сентября 2009 г.)

Учебно-методический комплекс дисциплины обсужден на заседании кафедры Информационной безопасности «1» iconУчебно-методический комплекс дисциплины обсужден на заседании кафедры...
Учебно-методический комплекс составлен в соответствии с требованиями федерального государственного образовательного стандарта высшего...

Учебно-методический комплекс дисциплины обсужден на заседании кафедры Информационной безопасности «1» iconУчебно-методический комплекс дисциплины обсужден на заседании кафедры...
Учебно-методический комплекс составлен в соответствии с требованиями федерального государственного образовательного стандарта высшего...

Учебно-методический комплекс дисциплины обсужден на заседании кафедры Информационной безопасности «1» iconУчебно-методический комплекс дисциплины обсужден на заседании кафедры...
Учебно-методический комплекс составлен в соответствии с требованиями федерального государственного образовательного стандарта высшего...

Вы можете разместить ссылку на наш сайт:


Все бланки и формы на filling-form.ru




При копировании материала укажите ссылку © 2019
контакты
filling-form.ru

Поиск